T.I.M | Hardware Documentation
 All Classes Namespaces Files Functions Variables
bus_common.vhdl
Go to the documentation of this file.
1 
7 
8 use work.tim_common.word_width;
9 
11 package tim_bus is
12 
14  constant tim_bus_data_width : integer := 32;
15 
17  type tim_bus_master_state is (BUS_RESET, IDLE, REQ, READ, WRITE);
18 
19 end package;
Package header for all constants, functions and types used in TIM.
Definition: common.vhdl:14
integer :=32 tim_bus_data_width
The number of multiplexed data and address lines on the bus.
Definition: bus_common.vhdl:14
( BUS_RESET ,IDLE ,REQ ,READ ,WRITE ) tim_bus_master_state
The various state machine states of the bus master controller.
Definition: bus_common.vhdl:17
This package contains entity declarations and shared constant values for the bus logic modules...
Definition: bus_common.vhdl:11